SEMI Taiwan Homepage
          
   
Abstract :

New Materials for Extending DSA Capabilities

 
Utilizing a graphoepitaxy flow for directed self–assembly (DSA) processing with a
neutral layer coating is essential for achieving successful microphase separation of the
block copolymer (BCP).

A multifunctional hardmask neutral layer (HM NL) was developed to match the surface energy properties required for polystyrene (PS) block co-polymer (PS-b-PMMA) processing.  In addition to the HM NL’s optimum surface energy characteristics, it has the added value of monomers selected to improve etch resistance for subsequent processing. A HM NL minimizes the number of substrate deposition steps required in DSA process flows. The need for a separate brush layer is eliminated by incorporating the required polymer affinities into the hardmask to achieve microphase separation of the BCP using thermal annealing. The reflection control and etch resistance capabilities are inherent in the chemical composition, thus eliminating the need for two separate thin-film layers to address absorbance and etch criteria. A series of BCP formulations were synthesized with a wide range of Lo values and compositions targeted to test the
versatility and robustness of the HM NL. Quality “fingerprint” patterns or microphase separation using primarily thermal annealing was achieved for an array of modified BCP materials. All BCP derivatives coated directly onto the HM NL and were tested using SEM analysis for effective patterns. 

This work presents a flexible alternative path for high etch resistance in a graphoepitaxy DSA flow employing a single-layer hardmask demonstrated to be compatible with diverse BCP-modified chemical formulations.

           

Figure 1. BCP Lo 28-nm (left) and 18-nm (right) results with the HM NL.